Insert:    
Visibility:     Module:   

Myfab

Realize your nano vision

All tools

 NameManufacturerModelCurrent toolratetype name
DetailsOzone Cleaning - NovascanNovascanPSD-UVTB
DetailsSPM - Bruker Dimension ICONBrukerDimension ICONC
DetailsDiffractometer Xray - Panalytical XPertPanalyticalXPert PRO MRDC
DetailsCVD - Carbolite - 2D materialsCarboliteEZS-3G 12/600B 1200CA
DetailsTransfer stage - Manual for 2D and 1D materials ??A
DetailsX-ray photoemission spectroscopy – Scienta OmicronScienta-Omicron GmbHArgus CUC
DetailsGlove Box - 2D materialsMBRAUNLABstar proB
DetailsSmoltek CVD----C
DetailsSoftware - GenISys BEAMERGenISys GmbHProximity CorrectionA
DetailsSoftware - GenISys LABGenIsysLAB simulation packageA
DetailsSoftware - Proxecco proximity correctionPDF Solutions GmBHProxecco-7.0B
DetailsMask aligner - Canon PPC-210CanonPPC-210C
DetailsSputter - PfeifferPfeiffer VacuumSLS-630G "Spider"C
DetailsSputter - AJAAJA InternationalAJA Orion 6-UDD
DetailsSputter - DCA - QuantumDCAMTD 620D
DetailsPLD - Carbon SystemChalmers-C
DetailsEvaporator - PlassysPlassysMEB 550 SD
DetailsEvaporator - Lesker PVD 225 #2LeskerPVD 225D
DetailsCVD - MgB2 - PVD hybridChalmers-C
DetailsSputter - FHRFHRMS150D
DetailsSputter - NORDIKONordiko2000B
DetailsEvaporator - VarianVarianVT 118 UHVC
DetailsSputter - BalzersBalzers/PfeifferPLS 550C
DetailsEvaporator - AVACAVACHVC600C
DetailsEvaporator - EdwardsEdwardsAuto 306B
DetailsPLD - Small SystemChalmers/Staffan PehrsonGeneration IB
DetailsPLD - Compex 205 LaserLambda-PhysikCompex 205C
DetailsPLD - Calas SystemChalmers/Staffan PehrsonGeneration IIIC
DetailsPLD - RHEED SystemChalmers/TSSTHigh pressure RHEEDC
DetailsPLD - DCA ClusterDCA InstrumentsUHV PLDC
DetailsSputter - DCA Cluster - OxidesDCAMTD 450D
DetailsSputter - DCA Cluster - MetalsDCAMTD 450D
DetailsBuffing tool - LCtec - LCD lineLC-tec AB?C
DetailsAligner & Assembler - Ciposa - LCD lineCiposa SASwitzerlandB
DetailsVacuum packer - LCD lineMultivac509.5A
DetailsUV illumination boxSolectro AB??A
DetailsEmergency diesel generatorN/AN/AA
DetailsGlue Dispensing System - LCD line StepCraft 210MetCal modell DX-250.B
DetailsEvaporator - Plassys UHVPlassysMEB 550 SL3 - UHVA
DetailsUPSN/AN/AA
DetailsGas detection alarmHoneywellN/AA
DetailsSteam productionN/AN/AA
DetailsSteam boiler #1Osby ParcaOpex-ÅA
DetailsSteam boiler #2Osby ParcaOpex-ÅA
DetailsParking spot - Hugo Grauers gata 1BN/AN/AA
DetailsWorkshop power toolsN/AN/AA
DetailsR211 FFU1ZIEHL-ebm ABGR-V 31G-4KK.2FA
DetailsFan filter unitsZIEHL-ebm ABGR-V 31G-4KK.2FA
DetailsWafer Inspection System - IR--A
DetailsSpinner - BLEBLEBLEA
DetailsWet Bench - Acid & Base - Developer Spinner - OsirisOsirisOsiris BASIXXA
DetailsMask aligner - Suss MA/BA 6 #1Suss MicroTecMA/BA 6C
DetailsWet Bench - Acid & Base - Developer WorkStanglWet benchA
DetailsMask aligner - Suss MJB3 UV 400 #1Karl SussMJB3C
DetailsSurface profiler - Tencor P15KLA TencorP-15B
DetailsWet Bench - Solvent - Ultrasonic bath - Microwave linePM-plastWet benchA
DetailsWet Bench - Acid & Base - Developer Bath - Microwave linePM-plastWet benchA
DetailsWet Bench - Acid & Base - Developer Work - Microwave linePM-plastWet benchA
DetailsSpinner - BLE & HMDS hotplate - Microwave linePM-plastWet benchA
DetailsHotplate - Solar-semi & BLE - Microwave linePM-plastWet benchA
DetailsSpinner - Suss LabSpin6Stangl#501A
DetailsWet bench Acid & Base - Developer workStanglWet benchA
DetailsSolar-Semi Hot PlateSolar-SemiWet benchA
DetailsFurnace - Wet oxidationChalmersFurnace for III/V materialsC
DetailsMask aligner - Suss MJB3 UV 400 #2Karl SussMJB3C
DetailsSpinner - Suss LabSpin6Suss Microtech-A
DetailsWet Bench - Hotplate & HMDS & OvenStanglStanglA
DetailsSurface profiler - Tencor AS500 #1TencorAS500B
DetailsSurface profiler - Tencor AS500 #2KLA TencorAS500B
DetailsScriber breaker - LoomisLoomisLSD-100B
DetailsFlood exposure - Bachur & Associates - DUVBachur & AssociatesLS 150X-5C2 500WB
DetailsSpinner - Suss RCD8SussRCD8C
DetailsHotplate - Wenesco - SU8/BCBWenescoCustomA
DetailsSpinner - Suss LabSpin6SUSS MicroTec1116A
DetailsMask aligner - Suss MA 6 #2Suss MicroTecMA6C
DetailsMaskless lithography - SmartPrintMicrolight 3DSmartPrintB
DetailsWafer Expander - DynatexDynatexDXE Wafer ExpanderA
DetailsLaminator for SUEX Dry Film PhotoresistGBC PRO SERIES 3600A
DetailsCritical point dryer - TousimisTousimisAutosamdri 931 GLA
DetailsEllipsometer - J.A. Woollam RC2J. A. WoollamRC2C
DetailsWhite Light Reflectance Spectroscopy (WLRS) - ThetaMetrisis Theta MetrisisFR-Pro-UV/VISA
DetailsPECVD - OxfordOxford InstrumentsPlasma Pro 100 PECVDC
DetailsDry etch RIE - SAMCO OxygenSAMCO10NRC
DetailsDicing Saw - Disco DAD3321Disco3321C
DetailsCMP - LNFLogitechPM6B
DetailsSubstrate bonder - LNFLogitech?A
DetailsMBE - Riber C21RiberCompact 21 T-E ClusterE
DetailsCMP Polishing & Lapping tool - Logitech PM5 #2LogitechPM5C
DetailsDry etch RIE - Plasma-Therm - OxygenPlasma ThermBatchTop RIEC
DetailsDry etch Stripper - TePlaTePla AG300PCC
DetailsOzone Cleaning - FHRFHR Anlagenbau GmbHUVOH 150B
DetailsScriber - Suss - Soft wafers--A
DetailsDry etch RIE - Advanced VacuumPlasmaTherm/Advanced VacuumBatchtop m/91C
DetailsDry etch RIE - Plasma-ThermPlasmathermBatchTop m/95C
DetailsMBE - EPI 930MBEEPI 930E
DetailsFume Hood - Solvent - Polishing preparationStanglFume HoodA
DetailsFume hood - Solvent - Dicing preparationStanglFume HoodA
DetailsCMP Polishing & Lapping tool - Logitech PM5 #1LogitechPM5C
DetailsScriber - Suss - Hard wafers--A
DetailsDicing saw - Disco DAD3350DiscoDAD3350C
DetailsRTP - AccuThermo AW610 - InPAllwin21AccuThermo AW610C
DetailsRTP - AccuThermo AW610 - Wide bandgapAllwin21AccuThermo AW610C
DetailsEvaporator - Lesker SpectrosLeskerSpectrosD
DetailsRTP - JIPELEC JetFirst 100JIPELECJetFirst 100C
DetailsFurnace - Thermolyne - BCB cureBarnstead Thermolyne47900A
DetailsRTP - JIPELEC JetFirst 200JIPELECJetFirst 200C
DetailsDry etch RIBE - Oxford Ionfab 300Oxford Plasma TechnologyIonfab 300C
DetailsEvaporator - Lesker Nano CrLeskerNano36B
DetailsDry etch IBE - Oxford Ionfab 300 PlusOxfordIonfab 300 PlusD
DetailsEvaporator - Lesker PVD 225 #1LeskerPVD 225D
DetailsDry etch ICP - STSSTSICP MPXD
DetailsPC monitor 43"DellDell 43'' UltraSharp U4320Q 4K USB-CA
DetailsWet Bench - Solvent - Chemical preparation Stangl-A
DetailsWet Bench - Acid & Base - Chemical preparationStangl0A
DetailsSubstrate bonder - Suss SB6--C
DetailsRTP - STEAGSteagSHS 100MC
DetailsIntercom Set 1, blackEartec UltraLITE UL5S HD Kit A
DetailsMCC115 PL1 Group 1Chalmers1A
DetailsMCC115 PL1 Group 2Chalmers2A
DetailsMCC115 PL2 Group 1Chalmers3A
DetailsIntercom Set 2, yellowEartec UltraLITE UL5S HD Kit A
DetailsDry etch RIBE - NILTOxford InstrumentsIonfabD
DetailsSEM - Zeiss Supra 55 - EDXZeissSupra 55 VPC
DetailsSPM - Bruker Dimension 3100BrukerDimension 3100 SPMC
DetailsSEM - Zeiss Supra 60 VP - EDXZeissSupra 60 VPC
DetailsSpectrometer EDX - IXRFIXRF-B
DetailsSoftware - SPM/AutoCADHP140B
DetailsEBL - Raith EBPG 5200RaithEBPG 5200E
DetailsMicroscope Automatic - Nikon L200NDNikonL200NDB
DetailsProcess cooling waterN/AN/AA
DetailsProcess cooling, loop 1N/AN/AA
DetailsProcess cooling, loop 3N/AN/AA
DetailsProcess cooling, loop 4N/AN/AA
DetailsProcess cooling, loop 5N/AN/AA
DetailsProcess cooling, loop 6N/AN/AA
DetailsChip scanner - RaithRaithChip Scanner Two-HSC
DetailsEBL Sample pre-alignerChalmers MC2PAMS 1101A
DetailsEBL - JEOL JBX 9300FSJEOL Ltd.JBX9300FSE
DetailsDry etch ICP - Oxford PlasmaPro 100Oxford InstrumentsPlasmaPro 100 Cobra ICP 180D
DetailsALD - Oxford FlexAlOxford InstrumentsFlexAlC
DetailsLaser writer - DWL 2000Heidelberg InstrumentsDWL 2000C
DetailsDry Etch ICP - Oxford - Deep Silicon etchOxford InstrumentsPlasma Pro 100D
DetailsSputter - HHV UHVHHV?D
DetailsDry etch ICP - Oxford PlasmaPro 100 Cl2OxfordPlasmaPro 100D
DetailsTripodSamsungS10A
DetailsFilm stress measurementKLA TencorFLX 2320B
DetailsFurnace - Tempress #2-2 LPCVD - TEOSTempress?C
DetailsFurnace - Tempress #2-3 LPCVD - SiNTempress?C
DetailsFurnace - Tempress #2-1 LPCVD - PolysiliconTempress?C
DetailsCVD - MTI - 2D materialsMTI-B
DetailsCVD - Aixtron - GrapheneAixtronBM HT Pro 2-inchC
DetailsFurnace - Graphene SiCGraphensic-B
DetailsCVD - MTI - GrapheneMTI CorporationOTF-1200X-4-II-C4OV-SLA
DetailsCVD - Aixtron - CNTAixtronBlack Magic 2-inchC
DetailsFurnace - LentonLentonAWF 12/65A
DetailsFurnace - Centrotherm #3-2 Low temp annealCentrothermAu annealB
DetailsFurnace - Centrotherm #3-3 High temp annealCentrothermHi temp annealB
DetailsFurnace - Centrotherm #1-1 Oxidation (restricted)CentrothermDry oxidationB
DetailsFurnace - Centrotherm #1-2 Wet & dry oxidation (public)CentrothermWet oxidationB
DetailsFurnace - Centrotherm #1-3 Wet & dry oxidationCentrothermWet oxidationB
DetailsFurnace - Centrotherm #4-2 LPCVD - TEOSCentrothermLP-TEOSC
DetailsFurnace - Centrotherm #4-3 LPCVD - SiNCentrothermLPCVD FurnaceC
DetailsFurnace - Centrotherm #4-4 LPCVD - PolysiliconCentrothermLP-PolysiliconC
DetailsFurnace - Thermolyne - Open Tube/1600°CBarnstead/ThermolyneThermolyne- M. 59340B
DetailsDeveloper Spinner - Osiris UNIXXOsirisUNIXX D20B
DetailsSEM - Zeiss Gemini 560ZeissGemini 560A
DetailsSEM- Zeiss Sigma 360ZeissSigma 360A
DetailsLaser Writer - MLA 150Heidelberg InstrumentsMLA 150D
DetailsSurface profiler - Sensofar neox - OpticalSensofarNeox 3DC
DetailsDry Release Etch - Vapor HF Etcher - KLA????C
DetailsMicrotransfer printerXDisplayMTP-1002B
DetailsFlip-Chip BonderFineTechFineplacer Femto 2D
DetailsNanoimprint - CNI v2NILTCNIB
DetailsWet Bench - Acid & Base - BOE bathStanglWet benchA
DetailsElectrochemical etching station??A
DetailsDry Release Etch - XeF2 - MemstarMemstarORBIS ALPHAC
DetailsMobile phoneSamsungS10A
DetailsWet Bench - Acid & BaseStanglWet benchA
DetailsWet Bench - SolventStanglWet benchA
DetailsSpinner - Suss LabSpin6Suss Microtech-A
DetailsParameter Analyzer - Keithley 4200SCSKeithley4200-SCSB
DetailsWet Bench - Acid & Base - Developer WorkStanglWet benchA
Details4-point probe - CMT SR2000NAITCMT-SR2000NB
DetailsVacuum oven - HereausHereaus#500A
DetailsWet Bench - Solvent - Ultrasonic bathStanglWet benchA
DetailsWet Bench - Acid & Base - ElectroplatingStanglWet benchB
DetailsWet Bench - Solvent - Ultrasonic bathStanglWet benchA
DetailsWet Bench - Acid & BaseStanglWet benchA
DetailsWet Bench - Solvent - Liftoff BathStanglWet benchA
DetailsWet Bench - Solvent - Ultrasonic bathStanglWet benchA
DetailsWet Bench - Solvent - Megasonic bathStanglWet benchA
DetailsWet Bench - Solvent - Mask cleaningStanglWet benchA
DetailsWet Bench - Acid & Base - Standard Clean baths (SC1/SC2)StanglWet benchB
DetailsWet Bench - Acid & Base - Al-etch bathStanglWet benchA
DetailsWet Bench - Acid & BaseStanglWet benchA
DetailsWet Bench - Acid & BaseStanglWet benchA
DetailsWet Bench - Solvent - Remover BathStanglWet benchA
DetailsFume Hood - Acid & Base - HF & BOE WorkStanglFume HoodA
DetailsFume Hood - Acid & Base - PLD target polishingStanglFume HoodA
DetailsFume Hood - Acid & Base - Wash-upStanglFume HoodA
DetailsVacuum sealerAirZeroAZ-450A
DetailsCVD - ParyleneSCSPDS 2010 LabcoterA
DetailsRaman microscope - HoribaHoribaXploRAB
DetailsSpinner - Polos & hotplates - Unconventional resistsPolos + BLEPolos + Delta200_HotplatesA
DetailsWet Bench - Solvent - Development work & Hot PlateStangl + BLEWet benchA
DetailsSpinner - Suss LabSpin6Suss Microtech-A
DetailsWet Bench - Solvent - Developer Work StanglWet benchA
DetailsFume Hood - SolventStangleFume HoodA
DetailsFume Hood - Acid & Base - Hot Acid WorkStanglFume HoodA
DetailsDry etch ICP - Oxford Plasmalab 100 - Two chambersOxford Plasma TechnologyPlasmalab 100 ICP180D
DetailsMicroscope - Olympus MX50 - Nano areaOlympusMX50A
DetailsMicroscope - Olympus MX50 - Nano areaOlympusMX50A
DetailsMicroscope - Olympus MX40 - III/V areaOlympusMX40A
DetailsMicroscope - Olympus MX40OlympusMX40A
DetailsMicroscope stereo - Olympus SZX-12OlympusSZX-12A
DetailsMicroscope - Olympus MX50 - III/V areaOlympusMX50A
DetailsMicroscope - Olympus MX40 - Silicon areaOlympusMX40A
DetailsMicroscope - Olympus MX50 - Metrology areaOlympusMX50A
DetailsMicroscope stereo - Olympus SZH-11OlympusSZH-10A
DetailsMicroscope - Olympus BX52OlympusBX51A
DetailsMicroscope stereo - Olympus SZX-9 - PL2OlympusSZX-9A
DetailsHouse vacuum systemN/AN/AA
DetailsCompressed Dry AirN/AN/AA
DetailsArgon (Ar)N/AN/AA
DetailsSilane 5% (SiH4)N/AN/AA
DetailsFluorine 5% (F)N/AN/AA
DetailsProcess gasesN/AN/AA
DetailsSolvent waste tankN/AN/AA
DetailsDiborane 5% (B2H6))N/AN/AA
DetailsMethane 5% (CH4)N/AN/AA
DetailsAcetylene (C2H2)N/AN/AA
DetailsOctafluorocyclobutane (C4F8)N/AN/AA
DetailsTetrafluoromethane (CF4)N/AN/AA
DetailsMethane (CH4)N/AN/AA
DetailsTrifluoromethane (CHF3)N/AN/AA
DetailsChlorine (CL2)N/AN/AA
DetailsCarbon dioxide (CO2)N/AN/AA
DetailsHydrogen (H2)N/AN/AA
DetailsHydrogen bromide (Hbr)N/AN/AA
DetailsHelium (He)N/AN/AA
DetailsKrypton (Kr)N/AN/AA
DetailsNitrous oxide (N2O)N/AN/AA
DetailsNeon (Ne)N/AN/AA
DetailsNitrogen trifluoride (NF3)N/AN/AA
DetailsAmmonia (NH3)N/AN/AA
DetailsOxygen (O2)N/AN/AA
DetailsSulfur hexafluoride (SF6)N/AN/AA
DetailsSilicon tetrachloride (SiCl4)N/AN/AA
DetailsDichlorosilane (SiH2Cl2)N/AN/AA
DetailsSilane LPCVD (SiH4)N/AN/AA
DetailsSilane Oxford (SiH4)N/AN/AA
DetailsProcess cooling, loop 7N/AN/AA
DetailsHydrogen 5% in argon (H2/Ar)N/AN/AA
DetailsBoron trichloride (BCl3)N/AN/AA
DetailsDry scrubbersN/AN/AA
DetailsDry scrubber #7082, #7083, #7084N/AN/AA
DetailsDry scrubber, #305N/AN/AA
DetailsDry scrubber #445N/AN/AA
DetailsDry scrubber #404, #412, #429N/AN/AA
DetailsDry scrubber #1165 N/AN/AA
DetailsSolvent exhaust FF01, floor 9N/AN/AA
DetailsAcid/base exhaust FF02, floor 9N/AN/AA
DetailsPoisonous exhaust FF03-04, floor 9N/AN/AA
DetailsWet scrubber, poisonousColasitN/AA
DetailsVacuum oven - PolyimideYield Engineering SystemsYES-PB6A
DetailsDi water, loop 1N/AN/AA
DetailsDi water, loop 2N/AN/AA
DetailsDi water, loop 3N/AN/AA
DetailsDi water, loop 4N/AN/AA
DetailsWet scrubber, acid/baseColasitN/AA
DetailsNeutralization waste tankN/AN/AA
DetailsFan exhaust systems, floor 9N/AN/AA
DetailsDi waterN/AN/AA
DetailsWet scrubbersN/AN/AA
DetailsPECVD - Smoltek - Remote plasmaDCA?C
DetailsTA07N/AN/AA
DetailsAir treatmentN/AN/AA
DetailsTA01N/AN/AA
DetailsTA02N/AN/AA
DetailsTA03N/AN/AA
DetailsTA04N/AN/AA
DetailsTA05N/AN/AA
DetailsTA06N/AN/AA
DetailsCA01N/AN/AA
DetailsCA02N/AN/AA
DetailsCA03N/AN/AA
DetailsCA04N/AN/AA
DetailsCA05N/AN/AA
DetailsCA06N/AN/AA
DetailsCA07N/AN/AA
DetailsCA08N/AN/AA
DetailsCA09N/AN/AA
DetailsCirculating fansN/AN/AA